通过ov5640拍摄图像,存储与sdram,再从sdram种读取并显示
2024-02-29 21:40:42 8.79MB
1
利用cyclone2开发板做的VGA显示256种颜色。
2021-12-23 21:31:26 421KB cyclone VGA FPGA
1
根据VGA视频信号时序,利用FPGA控制产生视频信号,在普通彩色显示器上显示8色彩色图像。
2021-12-13 16:35:06 5.36MB VGA FPGA
1
这是辛辛苦苦在网上和论坛找的关于VGA方面的资料,包括了这个讨论的大部分关于VGA的资料;语言方面有用VHDL的,也有用Verilog的;如果觉得不错就顶下吧
2021-12-08 23:41:31 9.59MB VGA FPGA VHDL Verilog
1
利用VGA显示,制作一个弹力球游戏,使用quratus ii 软件,亲测可用
2021-12-08 15:37:46 4.23MB VGA FPGA
1
这是一个很好的详细解答PS2原理 既基于FPGA进行代码实现的不错的文档 有助于做课程设计与毕业设计
2021-07-15 11:06:46 339KB PS2 VGA FPGA PS2鼠标接口设计
1
BASYS3 VGA显示字符
2021-05-28 18:01:40 56KB VGA FPGA BASYS3
1
FPGA控制VGA接口显示图像的原理简要说明,感觉对于一个只需大概了解原理进而编程序的人而言足够了。
2021-05-09 18:43:41 172KB VGA FPGA 显示 行频
1
VESA_Monitor_Timing_Standard-Version_1.0,_Revision_12p-2008.pdf
2021-03-30 18:17:17 1.08MB hdmi VGA FPGA 嵌入式
1
利用FPGA设计并实现了一种VGA图形控制器。根据VGA显示原理,利用VHDL作为逻辑描述语言,在Xilinx的开发板Nexys2上完成了设计的功能。通过按动开发板上的按键可切换显示屏显示的图形,可实现横条纹、竖条纹、方格棋盘等8 bit彩色图形的显示。
2021-03-27 15:30:27 230KB VGA FPGA 图形控制器 8
1